Mostrar registro simples

dc.contributor.authorSingh, Vikrampt_BR
dc.contributor.authorSatyanarayana, Vardhineedi Sri Venkatapt_BR
dc.contributor.authorBatina, Nikolapt_BR
dc.contributor.authorReyes, Israel Moralespt_BR
dc.contributor.authorSharma, Satinder Kumarpt_BR
dc.contributor.authorKessler, Felipept_BR
dc.contributor.authorScheffer, Francine Ramospt_BR
dc.contributor.authorWeibel, Daniel Eduardopt_BR
dc.contributor.authorGhosh, Subratapt_BR
dc.contributor.authorGonsalves, Kenneth E.pt_BR
dc.date.accessioned2015-05-16T02:00:42Zpt_BR
dc.date.issued2014pt_BR
dc.identifier.issn1932-5134pt_BR
dc.identifier.urihttp://hdl.handle.net/10183/116515pt_BR
dc.description.abstractAlthough extreme ultraviolet (EUV) lithography is being considered as one of the most promising nextgeneration lithography techniques for patterning sub-20 nm features, the development of suitable EUV resists remains one of the main challenges confronting the semiconductor industry. The goal is to achieve sub-20 nm line patterns having low line edge roughness (LER) of <1.8 nm and a sensitivity of 5 to 20 mJ∕cm². The present work demonstrates the lithographic performance of two nonchemically amplified (n-CARs) negative photoresists, MAPDST homopolymer and MAPDST-MMA copolymer, prepared from suitable monomers containing the radiation sensitive sulfonium functionality. Investigations into the effect of several process parameters are reported. These include spinning conditions to obtain film thicknesses <50 nm, baking regimes, exposure conditions, and the resulting surface topographies. The effect of these protocols on sensitivity, contrast, and resolution has been assessed for the optimization of 20 nm features and the corresponding LER/line width roughness. These n-CARs have also been found to possess high etch resistance. The etch durability of MAPDST homopolymer and MAPDST-MMA copolymer (under SF6 plasma chemistry) with respect to the silicon substrate are 7.2∶1 and 8.3∶1, respectively. This methodical investigation will provide guidance in designing new resist materials with improved efficiency for EUVL through polymer microstructure engineering.en
dc.format.mimetypeapplication/pdf
dc.language.isoengpt_BR
dc.relation.ispartofJournal of micro-nanolithography mems and moems. Bellingham. Vol. 13, no. 4 (Oct. 2014), 043002, 9 p.pt_BR
dc.rightsOpen Accessen
dc.subjectNonchemically amplified resisten
dc.subjectLitografia por feixe de elétronspt_BR
dc.subjectCopolímerospt_BR
dc.subjectPostexposure bakeen
dc.subjectUltravioleta extremapt_BR
dc.subjectPostapply bakeen
dc.subjectE-beam lithographyen
dc.subjectPolímerospt_BR
dc.subjectExtreme ultraviolet lithographyen
dc.subjectSurface roughnessen
dc.subjectContrasten
dc.subjectSensitivityen
dc.subjectEtch resistanceen
dc.titlePerformance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithographypt_BR
dc.typeArtigo de periódicopt_BR
dc.identifier.nrb000965920pt_BR
dc.type.originEstrangeiropt_BR


Thumbnail
   

Este item está licenciado na Creative Commons License

Mostrar registro simples